summaryrefslogtreecommitdiffstats
path: root/src/util/Option/ROM.pm
diff options
context:
space:
mode:
Diffstat (limited to 'src/util/Option/ROM.pm')
-rw-r--r--src/util/Option/ROM.pm4
1 files changed, 3 insertions, 1 deletions
diff --git a/src/util/Option/ROM.pm b/src/util/Option/ROM.pm
index 7a1bb883..a86d3262 100644
--- a/src/util/Option/ROM.pm
+++ b/src/util/Option/ROM.pm
@@ -192,10 +192,12 @@ sub unpack_init {
my $instr = shift;
# Accept both short and near jumps
- ( my $jump, my $offset ) = unpack ( "CS", $instr );
+ my $jump = unpack ( "C", $instr );
if ( $jump == JMP_SHORT ) {
+ my $offset = unpack ( "xC", $instr );
return ( $offset + 5 );
} elsif ( $jump == JMP_NEAR ) {
+ my $offset = unpack ( "xS", $instr );
return ( $offset + 6 );
} elsif ( $jump == 0 ) {
return 0;