summaryrefslogtreecommitdiffstats
path: root/include/dt-bindings
diff options
context:
space:
mode:
authorChris Brandt2016-09-22 23:32:09 +0200
committerSimon Horman2016-11-04 10:36:17 +0100
commit7c8522b7047c77ef598e8b5f9ff6e349c22e0622 (patch)
tree9016cef7933af11f1702dee05f72e573235589f5 /include/dt-bindings
parentARM: dts: r7s72100: add mmcif to device tree (diff)
downloadkernel-qcow2-linux-7c8522b7047c77ef598e8b5f9ff6e349c22e0622.tar.gz
kernel-qcow2-linux-7c8522b7047c77ef598e8b5f9ff6e349c22e0622.tar.xz
kernel-qcow2-linux-7c8522b7047c77ef598e8b5f9ff6e349c22e0622.zip
ARM: dts: r7s72100: add sdhi clock to device tree
Signed-off-by: Chris Brandt <chris.brandt@renesas.com> Reviewed-by: Geert Uytterhoeven <geert+renesas@glider.be> Signed-off-by: Simon Horman <horms+renesas@verge.net.au>
Diffstat (limited to 'include/dt-bindings')
-rw-r--r--include/dt-bindings/clock/r7s72100-clock.h4
1 files changed, 4 insertions, 0 deletions
diff --git a/include/dt-bindings/clock/r7s72100-clock.h b/include/dt-bindings/clock/r7s72100-clock.h
index 5eaf0fb469c2..29e01ed10e74 100644
--- a/include/dt-bindings/clock/r7s72100-clock.h
+++ b/include/dt-bindings/clock/r7s72100-clock.h
@@ -44,4 +44,8 @@
#define R7S72100_CLK_SPI3 4
#define R7S72100_CLK_SPI4 3
+/* MSTP12 */
+#define R7S72100_CLK_SDHI0 3
+#define R7S72100_CLK_SDHI1 2
+
#endif /* __DT_BINDINGS_CLOCK_R7S72100_H__ */