summaryrefslogtreecommitdiffstats
path: root/drivers/clk/ti/clkctrl.c
Commit message (Expand)AuthorAgeFilesLines
* clk: ti: clkctrl: Fix returning uninitialized dataTony Lindgren2019-06-061-2/+5
* clk: ti: clkctrl: Fix clkdm_clk handlingTony Lindgren2019-05-211-4/+4
* clk: ti: dra7: disable the RNG and TIMER12 clkctrl clocks on HS devicesTero Kristo2019-04-251-0/+3
* clk: ti: dra7x: prevent non-existing clkctrl clocks from registeringTero Kristo2019-04-251-0/+14
* clk: ti: clkctrl: Fix clkdm_name regression for TI_CLK_CLKCTRL_COMPATTony Lindgren2019-03-081-1/+1
* clk: ti: remove usage of CLK_IS_BASICTero Kristo2019-02-151-1/+1
* clk: Use of_node_name_eq for node name comparisonsRob Herring2018-12-141-1/+1
* Merge branch 'clk-ti' into clk-nextStephen Boyd2018-10-191-22/+77
|\
| * clk: ti: Prepare for remove of OF node nameStephen Boyd2018-10-161-6/+3Star
| * clk: ti: dra7: add new clkctrl dataTero Kristo2018-10-031-2/+6
| * clk: ti: dra7xx: rename existing clkctrl data as compat dataTero Kristo2018-10-031-1/+1
| * clk: ti: am43xx: add new clkctrl data for am43xxTero Kristo2018-10-031-4/+13
| * clk: ti: am43xx: rename existing clkctrl data as compat dataTero Kristo2018-10-031-2/+2
| * clk: ti: am33xx: add new clkctrl data for am33xxTero Kristo2018-10-031-2/+6
| * clk: ti: am33xx: rename existing clkctrl data as compat dataTero Kristo2018-10-031-1/+1
| * clk: ti: clkctrl: replace dashes from clkdm name with underscoreTero Kristo2018-10-031-0/+10
| * clk: ti: clkctrl: support multiple clkctrl nodes under a cm nodeTero Kristo2018-10-031-16/+45
* | clk: Convert to using %pOFn instead of device_node.nameRob Herring2018-08-301-8/+6Star
|/
* clk: ti: clkctrl: add support for CLK_SET_RATE_PARENT flagTero Kristo2018-03-081-0/+2
* clk: ti: dm816: add clkctrl clock dataTero Kristo2017-12-011-0/+3
* clk: ti: dm814: add clkctrl clock dataTero Kristo2017-12-011-0/+4
* clk: ti: am43xx: add clkctrl clock dataTero Kristo2017-12-011-0/+6
* clk: ti: am33xx: add clkctrl clock dataTero Kristo2017-12-011-0/+4
* clk: ti: dra7: add clkctrl clock dataTero Kristo2017-12-011-0/+4
* clk: ti: omap5: add clkctrl clock dataTero Kristo2017-12-011-0/+4
* clk: ti: clkctrl: fix flags for mux and divider opt clocksTero Kristo2017-12-011-2/+10
* clk: ti: clkctrl: add support for retrying failed initTero Kristo2017-12-011-1/+11
* clk: ti: clkctrl: use fallback udelay approach if timekeeping is suspendedTero Kristo2017-12-011-1/+13
* clk: ti: clkctrl: add support for clkdm init for clkctrl clocksTero Kristo2017-12-011-0/+22
* clk: ti: clkctrl: fix error messages to print out node name properlyTero Kristo2017-12-011-3/+3
* clk: ti: omap4: add clkctrl clock dataTero Kristo2017-06-151-0/+5
* clk: ti: add support for clkctrl clocksTero Kristo2017-06-151-0/+492