summaryrefslogtreecommitdiffstats
path: root/drivers/net/dsa
Commit message (Expand)AuthorAgeFilesLines
* net: dsa: mv88e6xxx: prefix PHY macrosVivien Didelot2017-06-092-7/+8
* net: dsa: mv88e6xxx: rework jumbo size operationVivien Didelot2017-06-094-23/+36
* net: dsa: mv88e6xxx: rework pause limit operationVivien Didelot2017-06-094-32/+37
* net: dsa: mv88e6xxx: do not prefix ops with g1Vivien Didelot2017-06-092-56/+56
* net: dsa: mv88e6xxx: use bridge state valuesVivien Didelot2017-06-092-21/+22
* net: dsa: mv88e6xxx: add egress mode enumerationVivien Didelot2017-06-094-8/+32
* net: dsa: mv888e6xxx: do not use netdev printingVivien Didelot2017-06-092-37/+33Star
* net: dsa: mv88e6xxx: do not skip ports on VLAN delVivien Didelot2017-06-081-4/+0Star
* net: dsa: mv88e6xxx: exclude all ports in new VLANVivien Didelot2017-06-081-4/+3Star
* net: dsa: mv88e6xxx: define membership on VLAN addVivien Didelot2017-06-081-5/+11
* net: dsa: mv88e6xxx: Have 6161/6123 use EDSA tagsAndrew Lunn2017-06-071-2/+2
* Merge git://git.kernel.org/pub/scm/linux/kernel/git/davem/netDavid S. Miller2017-06-071-3/+3
|\
| * net: dsa: mv88e6xxx: Add missing static to stub functionsArnd Bergmann2017-05-301-3/+3
| * net: dsa: mv88e6xxx: Add missing static to stub functionsAndrew Lunn2017-05-281-3/+3
* | net: dsa: mv88e6xxx: fix 6085 frame mode maskingVivien Didelot2017-06-061-1/+1
* | net: dsa: mv88e6xxx: mv88e6161 uses mv88e6320 stats snapshotAndrew Lunn2017-06-051-2/+2
* | net: dsa: mv88e6xxx: 6161 uses global 2 for PHY accessAndrew Lunn2017-06-051-4/+4
* | net: dsa: mv88e6xxx: move the Global 2 macrosVivien Didelot2017-06-053-103/+103
* | net: dsa: mv88e6xxx: move the Global 1 macrosVivien Didelot2017-06-053-141/+142
* | net: dsa: mv88e6xxx: move the Port macrosVivien Didelot2017-06-052-160/+160
* | net: dsa: mv88e6xxx: move PHY macrosVivien Didelot2017-06-052-4/+3Star
* | net: dsa: mv88e6xxx: rename chip headerVivien Didelot2017-06-0513-16/+19
* | dsa: add DSA switch driver for Microchip KSZ9477Woojung Huh2017-06-018-0/+3398
* | net: dsa: remove dev arg of dsa_register_switchVivien Didelot2017-05-316-6/+6
* | net: dsa: mv88e6xxx: rename PHY PPU functionsVivien Didelot2017-05-311-19/+19
* | net: dsa: mv88e6xxx: rename PHY PPU accessorsVivien Didelot2017-05-313-14/+17
* | net: dsa: mv88e6xxx: provide a PHY setup helperVivien Didelot2017-05-313-9/+11
* | net: dsa: b53: remove unused dev argumentVivien Didelot2017-05-311-5/+3Star
* | net: dsa: mv88e6xxx: handle SERDES error appropriatelyVivien Didelot2017-05-281-12/+7Star
* | dsa: mv88e6xxx: Enable/Disable SERDES on port enable/disableAndrew Lunn2017-05-261-8/+42
* | net: dsa: mv88e6xxx: mv88e6390X SERDES supportAndrew Lunn2017-05-263-0/+184
* | net: dsa: mv88e6xxx: Remove SERDES flagAndrew Lunn2017-05-262-24/+2Star
* | net: dsa: mv88e6xxx: Refactor mv88e6352 SERDES code into an opAndrew Lunn2017-05-265-48/+122
* | net: dsa: mv88e6xxx: Move phy functions into phy.[ch]Andrew Lunn2017-05-265-232/+287
* | net: dsa: b53: Add compatible strings for the Cygnus-family BCM11360.Eric Anholt2017-05-181-0/+2
* | net: dsa: use switchdev_obj_dump_cb_t everywhereVivien Didelot2017-05-186-13/+13
* | net: dsa: include switchdev.h only onceVivien Didelot2017-05-186-6/+0Star
* | drivers: net: DSA: Sort driversAndrew Lunn2017-05-172-23/+23
* | net: dsa: store CPU port pointer in the treeVivien Didelot2017-05-174-6/+6
|/
* net: dsa: mv88e6xxx: add default case to switchGustavo A. R. Silva2017-05-121-0/+3
* net: dsa: loop: Check for memory allocation failureChristophe Jaillet2017-05-081-0/+3
* net: dsa: mv88e6xxx: add VTU support for 88E6390Vivien Didelot2017-05-013-0/+146
* net: dsa: mv88e6xxx: support the VTU Page bitVivien Didelot2017-05-012-0/+8
* net: dsa: mv88e6xxx: simplify VTU entry getterVivien Didelot2017-05-011-38/+24Star
* net: dsa: mv88e6xxx: make VTU helpers staticVivien Didelot2017-05-012-48/+24Star
* net: dsa: mv88e6xxx: add VTU Load/Purge operationVivien Didelot2017-05-014-57/+103
* net: dsa: mv88e6xxx: add VTU GetNext operationVivien Didelot2017-05-014-48/+99
* net: dsa: mv88e6xxx: load STU entry with VTU entryVivien Didelot2017-05-011-104/+4Star
* net: dsa: mv88e6xxx: get STU entry on VTU GetNextVivien Didelot2017-05-013-1/+25
* net: dsa: mv88e6xxx: move STU GetNext operationVivien Didelot2017-05-013-13/+23