summaryrefslogtreecommitdiffstats
path: root/drivers/net/dsa
Commit message (Expand)AuthorAgeFilesLines
* net: dsa: mv88e6xxx: remove bridge workVivien Didelot2016-05-162-34/+8Star
* dsa: mv88e6xxx: Handle eeprom-length propertyAndrew Lunn2016-05-122-0/+20
* dsa: Rename switch chip data to cdAndrew Lunn2016-05-122-4/+4
* dsa: Remove master_dev from switch structureAndrew Lunn2016-05-121-0/+1
* dsa: Move gpio reset into switch driverAndrew Lunn2016-05-122-1/+20
* dsa: Add mdio device support to Marvell switchesAndrew Lunn2016-05-121-18/+72
* dsa: mv88e6xxx: Rename probe function to fit the normal patternAndrew Lunn2016-05-121-4/+4
* dsa: mv88e6xxx: Initialise the mutex as soon as it is createdAndrew Lunn2016-05-121-2/+1Star
* net: dsa: mv88e6xxx: add STU capabilityVivien Didelot2016-05-122-14/+16
* net: dsa: mv88e6xxx: abstract VTU/STU data accessVivien Didelot2016-05-121-4/+28
* net: dsa: mv88e6xxx: factorize the switch driverVivien Didelot2016-05-098-619/+297Star
* net: dsa: mv88e6131: use EDSA tag protocolVivien Didelot2016-05-092-2/+2
* net: dsa: mv88e6xxx: factorize switch setupVivien Didelot2016-05-096-98/+38Star
* net: dsa: mv88e6131: drop frames priorities setupVivien Didelot2016-05-091-18/+0Star
* net: dsa: mv88e6xxx: factorize GLOBAL_CONTROL_2 setupVivien Didelot2016-05-095-60/+7Star
* net: dsa: mv88e6xxx: factorize GLOBAL_MONITOR_CONTROL setupVivien Didelot2016-05-095-56/+12Star
* net: dsa: mv88e6131: drop VLAN Ethertype setupVivien Didelot2016-05-091-5/+0Star
* net: dsa: mv88e6xxx: factorize GLOBAL_CONTROL setupVivien Didelot2016-05-095-37/+13Star
* net: dsa: mv88e6xxx: factorize global setupVivien Didelot2016-05-096-70/+56Star
* net: dsa: mv88e6xxx: factorize switch resetVivien Didelot2016-05-096-83/+76Star
* net: dsa: mv88e6xxx: factorize ATU accessVivien Didelot2016-05-093-6/+36
* net: dsa: mv88e6xxx: factorize VTU accessVivien Didelot2016-05-093-6/+39
* net: dsa: mv88e6xxx: factorize bridge supportVivien Didelot2016-05-094-8/+43
* net: dsa: mv88e6131: add registers accessVivien Didelot2016-05-092-1/+7
* net: dsa: mv88e6xxx: factorize EEE accessVivien Didelot2016-05-095-2/+21
* net: dsa: mv88e6xxx: factorize MAC address settingVivien Didelot2016-05-096-9/+30
* net: dsa: mv88e6xxx: factorize temperature accessVivien Didelot2016-05-095-7/+36
* net: dsa: mv88e6xxx: factorize EEPROM accessVivien Didelot2016-05-096-211/+236
* net: dsa: mv88e6xxx: factorize PHY indirect accessVivien Didelot2016-05-094-46/+21Star
* net: dsa: mv88e6xxx: factorize PHY access with PPUVivien Didelot2016-05-094-66/+43Star
* net: dsa: mv88e6xxx: add flags to infoVivien Didelot2016-05-095-0/+38
* Merge git://git.kernel.org/pub/scm/linux/kernel/git/davem/netDavid S. Miller2016-05-041-1/+1
|\
| * net: dsa: mv88e6xxx: fix uninitialized error returnColin Ian King2016-04-281-1/+1
* | net: dsa: mv88e6xxx: replace ds with ps where possibleAndrew Lunn2016-05-026-494/+511
* | Merge git://git.kernel.org/pub/scm/linux/kernel/git/davem/netDavid S. Miller2016-04-241-29/+5Star
|\|
| * net: dsa: mv88e6xxx: share the same default FDBVivien Didelot2016-04-171-26/+2Star
| * net: dsa: mv88e6xxx: enable SA learning on DSA portsVivien Didelot2016-04-171-2/+2
| * net: dsa: mv88e6xxx: unlock DSA and CPU portsVivien Didelot2016-04-171-1/+1
* | net: dsa: mv88e6xxx: remove switch ID from psVivien Didelot2016-04-182-33/+0Star
* | net: dsa: mv88e6xxx: add number of db to infoVivien Didelot2016-04-186-18/+19
* | net: dsa: mv88e6xxx: add number of ports to infoVivien Didelot2016-04-186-56/+38Star
* | net: dsa: mv88e6xxx: add family to infoVivien Didelot2016-04-186-63/+38Star
* | net: dsa: mv88e6xxx: add switch infoVivien Didelot2016-04-186-32/+93
* | net: dsa: mv88e6xxx: read switch ID in probeVivien Didelot2016-04-181-27/+30
* | net: dsa: mv88e6xxx: drop revision probingVivien Didelot2016-04-185-42/+1Star
* | net: dsa: mv88e6xxx: drop double ds assignmentVivien Didelot2016-04-184-8/+0Star
* | net: dsa: constify probed nameVivien Didelot2016-04-188-32/+33
* | dsa: mv88e6xxx: Kill the REG_READ and REG_WRITE macrosAndrew Lunn2016-04-176-123/+224
* | dsa: mv88e6xxx: Use bus in mv88e6xxx_lookup_name()Andrew Lunn2016-04-141-4/+8
* | dsa: Rename phys_port_mask to enabled_port_maskAndrew Lunn2016-04-142-10/+11