summaryrefslogtreecommitdiffstats
path: root/modules-available/backup/inc/backuprestore.inc.php
diff options
context:
space:
mode:
Diffstat (limited to 'modules-available/backup/inc/backuprestore.inc.php')
-rw-r--r--modules-available/backup/inc/backuprestore.inc.php13
1 files changed, 13 insertions, 0 deletions
diff --git a/modules-available/backup/inc/backuprestore.inc.php b/modules-available/backup/inc/backuprestore.inc.php
new file mode 100644
index 00000000..931cbf26
--- /dev/null
+++ b/modules-available/backup/inc/backuprestore.inc.php
@@ -0,0 +1,13 @@
+<?php
+
+class BackupRestore
+{
+
+ const PROP_LAST_BACKUP = 'backup.last-time';
+ const PROP_AUTO_BACKUP_PASS = 'backup.auto-passwd';
+ const PROP_AUTO_BACKUP_MODE = 'backup.auto-mode';
+ const BACKUP_MODE_ROOTHOME = 'ROOTHOME';
+ const BACKUP_MODE_VMSTORE = 'VMSTORE';
+ const BACKUP_MODE_OFF = 'OFF';
+
+} \ No newline at end of file